Welcome![Sign In][Sign Up]
Location:
Search - Verilog Xilinx ISE

Search list

[Other resourceyunsuan-verilog

Description: 运算器的实现,即实验指导书中的实验一,文件中包含有原代码及端口设置(可变),用vrilog HDL编程,Xilinx ISE 6仿真,并在实际电路中得到实现.-operations for the realization of the experimental guidance of a book. document contains the original code and port settings (variable), with vrilog HDL programming, Xilinx ISE 6 simulation, and the actual circuit realization.
Platform: | Size: 1600570 | Author: 王越 | Hits:

[Other resourcetrafficLight-verilog

Description: 交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.-traffic lights to achieve the state machine, with verilog HDL programming, Xilinx ISE 6 simulation, the actual circuit have been tested.
Platform: | Size: 1533527 | Author: 王越 | Hits:

[VHDL-FPGA-VerilogVerilogHDLPLI

Description: Verilog HDL的PLI子程序接口,用于与用户C程序在2个方向上传输数据,可用xilinx ISE,quartusii或modelsim仿真,-Verilog HDL PLI subroutine interfaces, for C program with the user in the direction of two transmission of data, available xilinx ISE. quartusii or modelsim simulation,
Platform: | Size: 1024 | Author: 杨锐 | Hits:

[Other Embeded programyunsuan-verilog

Description: 运算器的实现,即实验指导书中的实验一,文件中包含有原代码及端口设置(可变),用vrilog HDL编程,Xilinx ISE 6仿真,并在实际电路中得到实现.-operations for the realization of the experimental guidance of a book. document contains the original code and port settings (variable), with vrilog HDL programming, Xilinx ISE 6 simulation, and the actual circuit realization.
Platform: | Size: 1600512 | Author: 王越 | Hits:

[Other Embeded programtrafficLight-verilog

Description: 交通灯状态机的实现,用verilog HDL编程,Xilinx ISE 6仿真,在实际电路中得到验证.-traffic lights to achieve the state machine, with verilog HDL programming, Xilinx ISE 6 simulation, the actual circuit have been tested.
Platform: | Size: 1532928 | Author: 王越 | Hits:

[VHDL-FPGA-Verilogclock

Description: 自己编写的一个verilog时钟程序,在xilinx的ISE仿真通过-I have written a Verilog clock procedures, in Xilinx s ISE simulation through
Platform: | Size: 327680 | Author: lg | Hits:

[VHDL-FPGA-VerilogISE_chinese_user_guide

Description: Xilinx—ISE的中文使用说明,写的很简单,但对于入门者很实用。看过市面上很多Xilinx的书,发现很多都是在这本书的基础上稍加改写,。
Platform: | Size: 915456 | Author: joan | Hits:

[VHDL-FPGA-VerilogISE_assistant_design_tool

Description: Xilinx-ISE辅助设计工具的中文使用说明,包括IP核生成器,布局布线器,FPGA底层编辑器,时序分析器,集成化逻辑分析工具,功率分析工具-Xilinx-ISE-aided design tools for use in Chinese, including the IP core generator, layout router, FPGA Editor bottom, timing analyzer, integrated logic analysis tools, power analysis tools
Platform: | Size: 1589248 | Author: joan | Hits:

[VHDL-FPGA-VerilogADC0832_test

Description: ADC0832是一个8-bit的ADC转化芯片,工作频率为250Khz,最大频率可达400Khz,转化通道有两个,输入电压可分有单端或差分形式。本测试使用单端电压输入形式,从昔年的CH0输入电压,使用Xilinx XC3S200AN开发板,并且使用Xilinx ise工具中的ChipScope工具来查看转化后的DO数据是否正确。经验证,输入电压范围是0V--5.5V,当电压达到5.5V时,满刻度.-ADC0832 is an 8-bit conversion of the ADC chip, the working frequency of 250Khz, the maximum frequency of up to 400Khz, into two channels, the input voltage can be divided into single-ended or differential form. This test used the form of single-ended voltage input, from the previous years of the CH0 input voltage, the use of Xilinx XC3S200AN development board, Xilinx ise tools and use of ChipScope tool to see into the post-DO data is correct. Validated, input voltage range is 0V- 5.5V, when the voltage reaches 5.5V, the full-scale.
Platform: | Size: 3628032 | Author: zhangjiansen | Hits:

[VHDL-FPGA-VerilogMultiplier

Description: It s a design of a 4*4 multiplier based on Verilog, using Xilinx ISE.
Platform: | Size: 859136 | Author: wayne | Hits:

[Other Embeded programReceiver

Description: 基于802.11a的OFDM基带硬件设计的verilog代码,在Xilinx ISE环境下实现-The OFDM-based 802.11a baseband hardware design of the verilog code, in the Xilinx ISE environment to achieve
Platform: | Size: 2328576 | Author: 肖夜 | Hits:

[VHDL-FPGA-VerilogCFO_Correction

Description: 载波频率同步Verilog程序 基于xilinx ise 实现-Carrier frequency synchronization Verilog program is based on xilinx ise to achieve
Platform: | Size: 412672 | Author: sunk | Hits:

[VHDL-FPGA-VerilogProcessor_alu

Description: this Code is in verilog HDL. This Code is for piplined processor with 4 opcode. this will work in three cycle latch, decode and exicute.. test bench for xilinx ise is laos given
Platform: | Size: 4096 | Author: Yogesh PAtel | Hits:

[VHDL-FPGA-VerilogA8255V4

Description: A8255.ZIP contains code that implement a modified 8255 Peripherial Port Controller. The code is written in verilog and project is made for XILINX ISE.
Platform: | Size: 540672 | Author: asimlink | Hits:

[VHDL-FPGA-VerilogIS61WV51216BLL

Description: 备注:使用的是VeriLog HDL语言 软件环境xilinx ISE 10.1,硬件:高教仪EXCD-1FPGA电路板。FPGA信号:spartan-3e . 功能编写硬件描述性语言实现FPGA对板上外设SRAM IS61WV51216BLL的读写,通过串口发送到上位机上,使用串口助手显示读取的数据。-Note: Use the VeriLog HDL language software environment xilinx ISE 10.1, hardware: Higher Miriam EXCD-1FPGA circuit boards. FPGA Signal: spartan-3e. Write functional hardware description language implementation of on-board peripherals SRAM IS61WV51216BLL FPGA to read and write, sent to the host computer through the serial port, use the serial Assistant displays the data read.
Platform: | Size: 5120 | Author: 李钿 | Hits:

[VHDL-FPGA-VerilogVerilog-Design

Description: 包括三个文档: 1.基于Altera Quartus II 的模块化设计应用 2.基于Xilinx ISE的的模块化设计示例 3.模块化设计方法的设计流程-Consists of three documents: 1. Based on Altera Quartus II modular design applications 2. Xilinx ISE based on the modular design of Example 3. Modular Design for design process
Platform: | Size: 252928 | Author: Joseph | Hits:

[VHDL-FPGA-VerilogSpiMaster

Description: This a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile and simulate-This is a verilog code for SPI Master testbench is also provided spi_top.v Xilinx ISE or Icarus verilog to compile and simulate
Platform: | Size: 9216 | Author: RutaliMulye | Hits:

[VHDL-FPGA-Verilogise_book

Description: Xilinx公司推荐FPGA培 训教材Xilinx ISE 9.xFPGA/CPLD设计指南的配套光盘内容,每个程序含verilog和VHDL两具版本-Training materials recommended by Xilinx Xilinx ISE 9.xFPGA/CPLD FPGA design guidelines supporting the CD content, each program contains two versions of verilog and VHDL
Platform: | Size: 8774656 | Author: 王建伟 | Hits:

[VHDL-FPGA-VerilogVerilog led

Description: Xilinx ISE开发平台实现4位的led灯循环点亮源代码,测试文件及约束(4 bit LED lamp cycle lighting)
Platform: | Size: 29696 | Author: 韩么韩 | Hits:

[VHDL-FPGA-Verilog好-无线通信FPGA设计-Xilinx

Description: 《无线通信FPGA设计》以Xilinx公司的FPGA开发平台为基础,综合FPGA和无线通信技术两个方向,通过大量的FPGA开发实例,较为详尽地描述了无线通信中常用模块的原理和实现流程,包括数字信号处理基础、数字滤波器、多速率信号处理、数字调制与解调、信道编码、系统同步、自适应滤波算法、最佳接收机,以及WCDMA系统的关键技术。《无线通信FPGA设计》概念明确、思路清晰,追求全面、系统、实用,使读者能够在较短的时间内具备无线通信领域的FPGA开发能力。(The design of wireless communication FPGA is based on the development platform of Xilinx's FPGA and combines the two directions of FPGA and wireless communication technology. Through a large number of examples of FPGA development, the principle and implementation process of common modules in wireless communication are described in detail, including the basis of digital signal processing, digital filter and multi-rate signal. Processing, digital modulation and demodulation, channel coding, system synchronization, adaptive filtering algorithm, optimal receiver, and key technologies of WCDMA system. The concept of Wireless Communication FPGA Design is clear, and the idea is clear. It pursues comprehensiveness, system and practicality, so that readers can have the ability to develop FPGA in the field of wireless communication in a relatively short time.)
Platform: | Size: 11018240 | Author: 无线电之家99 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net